Wafer Manufacturing

KLA’s wafer manufacturing portfolio includes defect inspection and review, metrology and data management systems that help manufacturers manage quality throughout the wafer fabrication process. Specialized wafer inspection and review tools assess wafer surface quality and detect, count and bin defects during production and as a critical part of outgoing wafer qualification. Wafer geometry systems ensure the wafer shape is extremely flat and uniform in thickness, with precisely controlled wafer shape topography. Data analysis and management systems proactively identify wafer/substrate fabrication process excursions that can lead to yield loss. In situ process monitoring solutions help engineers visualize, diagnose and control process and wafer handling conditions. KLA’s wafer manufacturing systems support process development, production monitoring and final quality check of a broad range of substrate types and sizes including silicon, prime silicon, SOI, sapphire, glass, GaAs, SiC, GaN, InP, GaSb, Ge, LiTaO3, LiNBO3, and epitaxial wafers.

Product Category

Surfscan®

Unpatterned Wafer Defect Inspection Systems

The Surfscan® SP7XP unpatterned wafer inspection system identifies defects and surface quality issues that affect the performance and reliability of leading-edge logic and memory devices. It supports IC, OEM, materials and substrate manufacturing by qualifying and monitoring tools, processes and materials, including those used for EUV lithography. Using a DUV laser and optimized inspection modes, the Surfscan SP7XP delivers ultimate sensitivity for advanced node R&D and the throughput to support high volume manufacturing. Complementary detection modes, including the phase contrast channel (PCC) and normal illumination (NI), detect unique defect types for bare wafers, smooth and rough films, and fragile resists and litho stacks. Image based defect classification (IBC) using revolutionary machine learning algorithms support faster time to root cause, while the Z7 classification engine supports unique 3D NAND and thick film applications.

Surfscan® SP Ax

Unpatterned Wafer Defect Inspection System

The Surfscan® SP A2 and Surfscan® SP A3 unpatterned wafer inspection systems identify defects and wafer surface quality issues that affect the performance and reliability of chips manufactured for the automotive, IoT, 5G, consumer electronics, and industrial (military, aerospace, medical) applications. These inspection systems support device, OEM, materials and substrate manufacturing by qualifying and monitoring tools, processes and materials. Using a DUV laser and optimized inspection modes, the Surfscan SP Ax systems deliver the sensitivity required to support fabs defect reduction strategies. Standard darkfield and optional brightfield inspection modes run concurrently, enabling capture and classification of yield critical and latent reliability defect types. Built on the industry-leading Surfscan platform, the Surfscan SP A2/A3 inspectors are configurable and flexible to meet the cost and performance targets for a range of applications. They support substrate and device manufacturing based on either silicon or wide bandgap (SiC, GaN, etc.) materials across 150mm, 200mm and 300mm wafer sizes.

eDR7xxx

e-Beam Wafer Defect Review and Classification Systems

The eDR7380™ electron-beam (e-beam) wafer defect review and wafer classification system captures high resolution images of defects, producing an accurate representation of the defect population on a wafer. With a wide range of electron optics and a dedicated In-Lens Detector, the eDR7380 supports defect visualization across process steps, including fragile EUV lithography layers, high aspect ratio trench layers and voltage contrast layers. Unique Simul-6™ technology produces a complete DOI pareto in one test for accurate defect sourcing and faster excursion detection. With connectivity features, such as IAS™ for broadband optical patterned wafer inspectors and OptiSens™ for bare wafer inspectors, the eDR7380 provides unique linkage to KLA inspectors for faster yield learning during IC and wafer manufacturing.

eDR® is a registered trademark of KLA Corporation.

WaferSight™

Bare Wafer Geometry Metrology Systems

The WaferSight™ 2+ bare wafer geometry metrology system qualifies polished and epitaxial silicon wafers, and engineered and other advanced substrates for wafer manufacturers. By producing wafer flatness, dual-sided nanotopography and high-resolution edge roll-off data, the WaferSight 2+ produces the data that helps wafer manufacturers ensure that first quality substrates are being produced in volume production.

MicroSense® Wafer Series

Bare Wafer Geometry Metrology Systems

The MicroSense® bare wafer geometry metrology systems are used by wafer manufacturers to qualify substrates across a range of materials, sizes and shapes. The MicroSense systems use patented non-contact sensor technology to produce precise and accurate automated geometry measurements at high throughput. The systems generate metrics – such as thickness, bow/warp and flatness – that help wafer manufacturers qualify and optimize their processes, ensuring volume production of quality substrates.

Candela® 8xxx

Advanced Inspection for Compound Semiconductor Materials and SiC and GaN Substrates

The Candela® 8720 compound semiconductor material surface inspection system enables GaN-related materials, GaAs substrate and epi process control for production of power devices, communications and RF devices, and advanced LEDs and microLEDs. It utilizes proprietary technology to detect and classify yield-limiting, sub-micron defects, supporting production-line monitoring. The Candela® 8520 defect inspection system is designed for advanced characterization of SiC and GaN substrates, often used for power devices in automotive and other applications. It utilizes integrated surface scattering and photoluminescence inspection technologies to capture a wide variety of mission-critical topographic and crystallographic defects, like triangles, carrots, stacking faults and basal plane dislocations. The Candela 8xxx systems help substrate manufacturers improve quality and yield, and optimize their epitaxial growth processes.

SensArray® Automation

In Situ Temperature Measurement Automation Package

The SensArray® Automation package provides a fast automated collection of process tool chamber temperature measurements while also providing semi-automated functionality to support fab startups. The SensArray Automation package includes the AS1000 automation base station, which is compatible with all 300mm wireless SensArray products, the overhead track (OHT) compatible FOUP, a system automation controller, and office PC software seat components. SensArray FOUPs can support two separate SensArray products for flexible fab deployment and can be processed the same way as any production FOUP, with direct data porting to SPC charts. SensArray Automation provides productivity enhancements resulting in gains in the availability of process tools, more efficient use of engineering resources, and centralized data storage in the fab’s MES database.

Smartwafer™

Wafer Handling Monitor

The Smartwafer2™ handling monitor runs through process equipment and records vibrations and acceleration along its route. After completing the recording process, the data is downloaded to a PC via an external reading station. The data is synchronized with the equipment sequence of events and compared to the historic good fingerprint. Any abnormal signals indicate and pinpoint bad mechanical components or alignments, which can cause particles, defects or scratches on the wafer. Standard 300mm silicon wafers are used to closely match the behavior of a standard process wafer, allowing it to run the same mechanical recipe through the wafer handling system. The electronic circuit is conformal coated with silicon adhesive, protecting and waterproofing the Smartwafer2. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the Smartwafer2 in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

EWG Wafer™

Wafer Handling Monitor

The EWG Wafer™ handling monitor measures the eccentricity and the wobbling of wafers on spinning chucks, the only in situ method of making these measurements. The common method currently used for checking these parameters involves the time-consuming process of opening the tool chamber and using mechanical gauges. With one XY accelerometer positioned at the center of the wafer and Z accelerometers positioned at six points close to the edge of the wafer, the EWG Wafer avoids any tool opening, and can be fully automated with the compatible Automation Loadport. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the EWG Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity.

RH Wafer™

Wafer Handling Monitor

The RH Wafer™ handling monitor travels throughout a process tool and measures relative humidity in multiple locations. The relative humidity sensor and Smartwafer2™ type circuit are mounted on a 300mm bare silicon wafer that is placed in a purged SmartFOUP™. The SmartFOUP is then placed on a process tool N2 purged load port and monitors the functionality of the N2 purging by measuring the relative humidity in the FOUP. The RH Wafer and purged SmartFOUP are fully compatible with the Automation Loadport and analysis software for fully automated monitoring. The Automation Loadport is designed for 300mm automated fabs and permits the utilization of the RH Wafer in production mode, like any other routine monitor. The Automation Loadport meets all SEMI standards, including E84 and other SEMI standards required for AMHS/OHV and host connectivity. The analysis software uses statistical process control (SPC) tools to detect relative humidity irregularities or trends.

Integral Implant i3

In Situ Ion Implant Wafer Temperature (15° to 130°C) Measurement System

The Integral Implant i3 in situ wafer temperature measurement system, available in both 300mm and 200mm configurations, supports monitoring of wafer temperature for ion implant processes. The Integral Implant i3 wireless wafer produces high accuracy temporal and spatial wafer temperature data that can help implant engineers characterize and monitor thermal variations that affect the ion implant dose and uniformity and improve implant process qualification and tool matching.

Process Probe™ 1530/1535

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1530 and 1535 instrumented wafers are used to monitor in situ temperatures for a wide range of processes, including cold wall, RTP, sputtering, CVD, plasma strippers and epitaxial reactors. The Process Probe 1530 and 1535 provide direct, real-time measurement of wafer temperature during each critical step of the process cycle. With this comprehensive temperature data, process engineers can characterize and fine tune process conditions, driving improved process equipment performance, wafer quality and yield.

Process Probe™ 1630

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1630 instrumented wafers enable precise in situ characterization of wafer temperature profiles for front end atmospheric and belt CVD systems and back end wafer solder bumping reflow ovens. With the Process Probe 1630, process engineers can determine edge-to-center temperature profiles to adjust heater zone set points, and measure drift in deposition temperature to adjust for heat transfer changes from oxide build-up on the heaters and belts.

Process Probe™ 1730

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1730 instrumented wafers enable precise in situ characterization of wafer temperature profiles in photoresist track systems, temperature controlled wafer chuck systems, oven applications, and resist bake, polyimide, and SOG applications. The Process Probe 1730 helps engineers characterize and fine tune process conditions to improve process equipment performance for higher yield.

Process Probe™ 1840/1850

In Situ Wafer Temperature Monitoring System

The Process Probe™ 1840 and 1850 instrumented wafers provide high accuracy, real-time hot plate temperature measurements, supporting processes such as photoresist track systems and wafer probers. The Process Probe 1840 and 1850 allow direct measurement of wafer temperature stability and uniformity without dependence on imprecise process monitors or contact temperature sensors. With the Process Probe 1840 and 1850, lithography engineers can characterize and fine tune the photoresist bake temperature uniformity, ensuring that advanced lithography processes meet the temperature accuracy required for achieving high yield.

Software Solutions

KLA has Software Solutions that support Wafer Manufacturing, including FabVision®

Click here to learn more

Legacy Node Wafer Manufacturing

KLA has systems available for legacy node wafer manufacturing through Pro Systems and Enhancements, including Surfscan® unpatterned wafer inspectors

Click here to learn more

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

If you are a current KLA Employee, please apply through the KLA Intranet on My Access.

Exit