첨단 패키징을 위한 웨이퍼 공정 시스템

KLA는 고밀도 팬아웃 웨이퍼 수준 패키징(FOWLP)부터 금속으로 채워진 실리콘 관통 전극(TSV)을 통해 서로 다른 기능을 가진 두 개 이상의 다이를 수직 방향으로 적층하고 연결하는 최첨단 3D 패키지에 이르기까지 첨단 패키징 방식을 위한 다양한 플라즈마 식각 및 증착 공정 기술을 제공합니다. KLA는 또한 실리콘 식각 분야에서 수십 년간 쌓아온 전문 지식과 기술을 활용하여 지름이 최대 300mm인 웨이퍼의 그라인딩 전 다이싱(DBG) 또는 그라인딩 후 다이싱(DAG)을 위한 최첨단 플라즈마 다이싱 솔루션도 제공합니다.

SPTS Omega® Plasma Etch

실리콘용 플라즈마 식각 시스템

SPTS Omega® Plasma Etch 시스템에는 첨단 패키징 애플리케이션에서 고속 실리콘 식각을 위한 Rapier™ 공정 모듈 제품군이 포함되어 있습니다. Rapier™ 식각 모듈은 실리콘 웨이퍼 또는 인터포저를 통해 구멍 또는 슬롯을 통해 수직 또는 테이퍼형 고종횡비를 생성하는 2.5D/3D-IC 패키징 애플리케이션과 웨이퍼 뒷면에서 Cu로 채워진 비아를 노출하는 블랭킷 경유 공개 에칭을 위해 사용됩니다. Rapier™ XE 공정 모듈은 레시피 조정이 가능한 균일성과 블랭킷 실리콘 에칭을 위한 경쟁 시스템보다 일반적으로 2~4배 빠른 에칭 속도를 결합합니다. 동일한 공정을 사용하여 식각 정지 층을 통합함으로써 5µm 또는 0.5µm까지 극도로 웨이퍼를 얇게 만들 수 있습니다. 또한 KLA는 TSV 에칭, 경유 공개 및 대량 양산 과정에서 최적의 처리량과 수율을 가능하게 하는 초박막 공정을 위해 특허로 보호된 고유한 엔드 포인트 솔루션을 제공합니다.

SPTS Mosaic™ Plasma Dicing

플라즈마 다이싱 시스템

SPTS Mosaic™ Plasma Dicing 시스템은 실리콘 웨이퍼(최대 300mm, 프레임 기준)에서 다이를 분리하기 위해 기계식 톱 또는 레이저 기술을 대체할 수 있습니다. 이 솔루션은 손상이 적은 건식 화학 공정을 통해 금형 강도를 높이고 미립자 오염을 방지합니다. 이러한 장점은 웨이퍼가 얇거나 깨지기 쉬운 낮은 유전율 박막이 포함된 경우, 그리고 다이와 웨이퍼를 접합할 때 특히 중요합니다. 병렬 공정인 플라즈마 다이싱은 소형 다이 및/또는 얇은 웨이퍼를 다이싱할 때 상당한 처리량, 수율 및 비용 이점을 제공합니다. 다이싱 레인이 더 협소해지면 웨이퍼당 더 많은 다이를 사용할 수 있고 다이 모양에 제한이 없어 웨이퍼 레이아웃을 최적화할 수 있습니다.

SPTS Sigma® PVD

금속 증착을 위한 물리적 기상 증착 시스템

SPTS Sigma® PVD 시스템은 실리콘(Si) 또는 몰드 웨이퍼에 금(Au), 알루미늄(Al), 티타늄(Ti), 티타늄-텅스텐(TiW), 구리(Cu) 등의 금속을 증착할 때 사용합니다. 첨단 패키징 기술을 위해 유기 보호막과 새로운 기판 물질을 도입하는 것은 언더 범프 금속화(UBM)와 재배선층(RDL)에 기술적 문제를 초래합니다. 새로운 가스 제거 및 사전 세정 기술을 사용하여 Sigma® PVD 시스템은 다른 PVD 시스템에 비해 두 배 더 높은 처리량을 제공하면서 일관되게 낮은 접합 저항(Rc) 값을 생성합니다. 2.5D 및 3D-IC 응용 분야에서 KLA의 Advanced Hi-Fill® Ionized PVD 소스는 높은 종횡비의 TSV에서 세계 최고 수준의 구리(Cu) 차단벽/시드 적용 범위를 제공합니다.

SPTS Delta™ PECVD

플라즈마 강화 화학 기상 증착 시스템

첨단 패키징을 위해 SPTS Delta™ PECVD 시스템은 300mm의 본딩된 기판 및 몰드와 호환되는 저온 증착 공정을 제공합니다. Delta™ PECVD는 무려 110°C의 낮은 증착 온도에서 생산 목적의 고품질 SiO 및 SiN을 생산합니다. 동일한 PECVD 챔버에서 SiN 및 SiO를 스택 형태로 증착할 수 있으며, 이는 높은 신뢰성의 전기적 성능과 오랜 시간 동안 안정성을 제공합니다. 넓은 범위에 걸쳐 필름 및 스택 응력을 조정할 수 있고 최적화된 챔버 하드웨어로 인해 다른 PECVD 시스템에 비해 가장 낮은 범위의 웨이퍼 내 응력이 가능합니다. 필요한 경우 단일 웨이퍼 및 복수 웨이퍼의 가스 제거 옵션을 사용하여 가스를 방출하는 기판을 가열하고 증착된 필름의 품질을 향상할 수 있습니다. 최적화된 SiO, TEOS SiO 및 기타 고급 유전체 필름을 융합 본딩 응용 분야에 사용할 수 있습니다.

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

KLA 직원인 경우 My Access의 KLA 인트라넷을 통해 신청하세요.

나가기