In Situ 공정 관리

KLA의 광범위한 SensArray® 제품 포트폴리오를 사용하면 공정 툴의 여러 환경과 웨이퍼 처리 조건에 대한 in situ 모니터링이 가능합니다. 자동화 패키지 및 자료 분석 시스템인 유무선 센서 웨이퍼 및 레티클을 통해 SensArray 제품은 광범위한 웨이퍼 및 레티클 공정에 대한 포괄적인 정보를 제공합니다. 이러한 제품은 또한 웨이퍼 처리 및 회전 척에 대한 특성 분석도 지원합니다. 반도체 공정 장비 제조업체, 칩 제조업체 및 레티클 제조업체는 SensArray 데이터를 사용하여 공정 및 웨이퍼 처리 조건을 시각화하고 진단 및 제어합니다.

제품 카테고리

SensArray® Automation

현장 온도 측정 자동화 패키지

SensArray® Automation 패키지는 공정 설비 챔버의 온도 측정 자료를 자동으로 빠르게 수집하는 동시에 반도체공장 시운전을 지원하는 반자동 기능도 제공합니다. SensArray Automation 패키지에는 모든 300mm 무선 SensArray 제품과 호환되는 AS1000 자동화 기지 장치, 오버헤드 트랙 (OHT) 호환 FOUP, 시스템 자동화 컨트롤러 및 사무용 PC 소프트웨어 사용권한 사용 갯수 구성 요소가 포함되어 있습니다. SensArray FOUP는 유연하게 반도체공장에 적용하기 위해 두 개의 개별 SensArray 제품을 지원할 수 있으며, SPC 차트로 직접 데이터 전달을 통해 다른 생산 FOUP와 동일한 방식으로 처리될 수 있습니다. SensArray Automation는 생산성 향상을 통해 공정 설비의 가용성, 엔지니어링 자원의 보다 효율적인 사용, 반도체공장의 MES 데이터베이스에 있는 중앙 집중식 자료 저장을 향상시킵니다.

EtchTemp Series

원래 위치 플라즈마 식각 웨이퍼 온도(20° ~ 140°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 공정 중 웨이퍼 온도 측정 시스템의 EtchTemp Series는 실제 공정 조건에서 플라즈마 식각 공정 환경이 생산 웨이퍼에 미치는 영향을 포착합니다. EtchTemp-HD 측정 시스템에는 높은 센서 밀도가 포함되어 있어 전도체 식각 응용분야를 위한 CD 균일성 관리와 강한 상관관계를 갖는 전체 웨이퍼 온도 모니터링이 가능합니다. EchTemp-HD 무선 웨이퍼는 생산 웨이퍼 조건을 밀접하게 나타내는 열 조건을 특성화함으로써 공정 엔지니어들이 식각 공정 조건을 조정하고, 전공정 플라즈마 식각 챔버의 검증, 일치 및 PM 이후 확인을 할 수 있도록 지원합니다.

HighTemp-400

현장 웨이퍼 온도(20° ~ 400°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 HighTemp-400 공정 중 웨이퍼 온도 측정 시스템은 첨단 박막 공정 (FEOL 및 BEOL ALD, CVD 및 PVD) 및 기타 고온 공정을 최적화하고 모니터링하도록 설계되었습니다. HighTemp-400 무선 웨이퍼는 공정 설비의 열 균일성을 측정하여 실제 생산 공정 조건에서 실시간으로 수집된 시간 및 공간 온도 자료에 대한 전체적인 이해를 제공합니다. HighTemp-400은 공정 허용범위 및 패터닝 성능에 영향을 미칠 수 있는 플라즈마 환경과 같은 응용분야의 열 변동을 밝혀냄으로써 집적회로 제조업체가 새로운 재료, 트랜지스터 기술 및 복잡한 패터닝 기술의 통합을 최적화할 수 있도록 지원합니다.

CryoTemp™

In Situ 웨이퍼 온도(-40° ~ 30°C) 측정 시스템

CryoTemp™ 공정 중 300mm 웨이퍼 온도 측정 시스템은 실제 진공 공정 조건에서 건식 식각 공정의 특성화 및 모니터링을 지원합니다*. 정전 척(ESC)에서의 보정, 균일성 향상 및 온도 프로파일 일치를 위해 설계된 CryoTemp 웨이퍼를 사용하면 플라즈마 식각 챔버의 빠른 공정 특성화 및 관리가 가능해집니다. CryoTemp에는 정확도가 0.5°C이고 동작 범위가 -40°C~30°C인 센서가 21개 있습니다. 자동화를 지원하는 CryoTemp는 챔버가 작동하지 않는 시간을 줄이고, 귀중한 엔지니어링 자원을 절약하며, 설비 성능과 전반적인 생산성을 향상시킵니다.

(* 참조: CryoTemp 웨이퍼는 플라즈마를 켠 상태에서 사용하도록 설계되지 않았지만 공정 챔버에서 받침대에 붙이거나 뗄 수 있습니다.)

WetTemp Series

현장 습식 가공 웨이퍼 온도(15° ~ 140°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 WetTemp 현장 웨이퍼 온도 측정 시스템은 습식 세정 및 기타 습식 공정의 모니터링을 지원합니다. WetTemp Series 모니터 웨이퍼는 대부분의 단일 웨이퍼 습식 세정 공정 시스템과 호환되므로 엔지니어가 습식 세정 설비를 검증하고 습식 세정 공정을 최적화하며 습식 세정 시스템 성능을 개선할 수 있습니다.

Smartwafer™

웨이퍼 취급 모니터

Smartwafer2™ 취급 모니터는 공정 장비를 통해 작동하며 경로를 따라 진동 및 가속도를 기록합니다. 기록 과정을 완료한 후 자료는 외부 판독소를 통해 PC로 다운로드됩니다. 자료는 장비에서 일어나는 동작의 순서와 동기화되며 과거의 양호한 특징과 비교하게 됩니다. 비정상적인 신호는 웨이퍼에 입자, 결함 또는 스크래치를 유발할 수 있는 잘못된 기계적 구성 요소 또는 정렬을 나타내고 정확한 위치를 알려줍니다. 표준 300mm 실리콘 웨이퍼는 표준 공정 웨이퍼의 동작과 밀접하게 일치하기 위해 사용되어 웨이퍼 취급 시스템을 통해 동일한 기계적 레시피를 실행할 수 있습니다. 전자 회로는 실리콘 접착제로 등각으로 코팅되어 Smartwafer2를 보호 및 방수합니다. Automation Loadport는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 진행되는 모니터와 마찬가지로 생산 방식으로 Smartwafer2를 사용할 수 있습니다. Automation Loadport는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다.

EWG Wafer™

웨이퍼 취급 모니터

EWG Wafer™ 취급 모니터는 회전 받침대에서 웨이퍼의 편심도와 흔들림을 측정합니다. 이는 이러한 측정을 하는 유일한 공정 중 방법입니다. 현재 이러한 변수를 확인하기 위해 사용되는 일반적인 방법은 설비 챔버를 열고 기계적인 측정기를 사용하는 시간이 많이 걸리는 과정을 포함합니다. 웨이퍼 중앙에 XY 가속도계 1개가 배치되고 웨이퍼 가장자리에 가까운 6개 지점에 Z 가속도계가 배치되면 EWG Wafer는 설비 개방을 방지하고 호환되는 Automation Loadport를 사용하여 완전히 자동화될 수 있습니다. Automation Loadport는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 하는 모니터와 마찬가지로 생산 방식으로 EWG Wafer를 사용할 수 있습니다. Automation Loadport는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다.

RH Wafer™

웨이퍼 취급 모니터

RH Wafer™ 취급 모니터는 공정 설비 전체를 이동하며 여러 위치에서 상대 습도를 측정합니다. 상대 습도 센서 및 Smartwafer2™ 유형 회로는 정화된 SmartFOUP™에 있는 300mm 베어 실리콘 웨이퍼에 장착됩니다. 그런 다음 SmartFOUP는 공정 설비 N2 로 정화된 로드포트에 놓여지고, FOUP의 상대 습도를 측정하여 N2정화 기능을 모니터링합니다. RH Wafer와 정화된 SmartFOUP는 완전 자동화된 모니터링을 위해 Automation Loadport 및 분석 소프트웨어와 완벽하게 호환됩니다. Automation Loadport는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 하는 모니터와 마찬가지로 생산 방식으로 RH Wafer를 사용할 수 있습니다. Automation Loadport는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다. 분석 소프트웨어는 통계적 공정 제어 (SPC) 도구를 사용하여 상대 습도 불규칙성 또는 추세를 탐지합니다.

ScannerTemp

현장 스캐너 웨이퍼 온도(20° ~ 24°C) 측정 시스템

ScannerTemp 현장 웨이퍼 온도 측정 시스템은 건식 스캐너, 습식 스캐너 및 EUV 사진식각 스캐너 모니터링을 지원합니다. ScannerTemp 무선 웨이퍼는 리소그래피 엔지니어가 패턴 오버레이 성능에 영향을 미치는 스캐너 열 변동을 특성화하고 모니터링하는 데 도움이 되는 고정밀 시간 및 공간 웨이퍼 온도 자료를 생성합니다. 평평한 표준 두께의 웨이퍼 형태의 ScannerTemp를 사용하여 높은 정밀도와 낮은 잡음으로 리소그래피 열 균일성 및 안정성을 모니터링하여 스캐너 검증 및 일치를 수행할 수 있습니다.

Integral Implant i3

원래 위치 안에서 이온 주입 웨이퍼 온 (15° ~ 130°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 Integral Implant i3 원래 위치 안에서 웨이퍼 온도 측정 시스템은 이온 주입 공정을 위한 웨이퍼 온도 모니터링을 지원합니다. Integral Implant i3 무선 웨이퍼는 높은 정확도의 시간 및 공간 웨이퍼 온도 자료를 생성하므로 이온 주입 엔지니어가 이온 주입 단위 면적 당 입자 수 및 균일성에 영향을 미치는 열 변동을 특성화하고 모니터링하며 이온 주입 공정 검증 및 설비 일치를 개선할 수 있습니다.

Integrated Wafer™

현장 리소그래피 웨이퍼 온도(15° ~ 145°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 Integrated Wafer™ 2 현장 웨이퍼 온도 측정 시스템은 리소그래피 공정을 모니터링하고 유지하는 데 중요한 열 자료를 수집합니다. Integrated Wafer 2는 무선 저외관 설계를 통해 거의 모든 리소그래피 공정 장비와 함께 사용할 수 있어서 중요한 생산 공정에 매우 정확한 정적 및 동적 온도 측정 자료를 제공합니다. Integrated Wafer 2는 65개의 센서를 사용하여 리소그래피 엔지니어가 열 선량 균일성을 특성화하고 이동, 가열, 냉각 및 정상 상태 동작을 포함한 열 주기의 영역을 분석할 수 있도록 지원합니다. Integrated Wafer 2는 첨단 사진식각 공정(예: 트랙 노광 후 감광재 경화 열처리하는 장소)에서 중요한 뜨거운 열판 가열 영역 요소의 측정 및 모니터링과 같은 응용분야을 지원합니다.

UV Wafer

현장 증착 및 서냉 UV 광원 측정 시스템

UV Wafer 공정 중 자외선(UV) 광원 300mm 측정 시스템은 무선 센서 웨이퍼 기술을 사용하여 박막 증착 공정 설비 내에서 웨이퍼 표면의 UV 광원 선량 및 강도를 측정합니다. 이전에 사용할 수 없었던 공정 최적화 및 모니터링을 가능하게 하는 UV Wafer는 FCVD (유동성) 산화물과 낮은 유전율의 유전체 박막을 서냉하거나 경화하는 데 사용되는 UV 광원에서 웨이퍼 표면에 도달하는 빛의 강도에 대한 시간 및 공간 정보를 제공합니다. UV Wafer는 또한 광원 수명에 의한 천이 또는 불균일한 박막 특성을 초래하는 광원 강도의 다른 변화를 식별할 수 있습니다. UV Wafer는 UV 광원 하위 시스템 내의 광학 시스템 문제를 눈에 뛰게 함으로써 엔지니어가 최적의 경화 공정을 실현하는 공정 설비 개선을 추진할 수 있도록 지원합니다.

PlasmaSuite

플라즈마 데이터 분석 시스템

PlasmaView
PlasmaView 공정 보기 시스템은 상세한 플라즈마 식각 공정 처리를 볼 수 있는 직관적인 인터페이스를 제공합니다. EtchTemp 및 EtchTemp-SE에서 수집된 데이터 사용. PlasmaView는 시간 및 공간(2D 또는 3D)에 대한 플라즈마 공정 데이터를 표시합니다. 영화 보기를 통해 공정 엔지니어는 중요한 과도 응답을 시각화할 수 있으므로 결함 조사에 사용할 수 있습니다.


PlasmaControl
PlasmaControl 분석 엔진은 일상적인 작업과 챔버 간 일치 여부를 모니터링하고 제어할 수 있도록 지원합니다. 복잡한 플라즈마 식각 공정을 몇 가지 중요한 구성요소로 추출하고 사양을 제어하기 위해 비교하여 각 실행에 대해 간단한 'Go' 또는 'No Go' 결과를 제공합니다. PlasmaControl은 엔지니어가 추세를 확인하고, 이송 항목을 감지 및 조사하고, 플라즈마 식각 공정 챔버를 비교할 수 있는 기능을 제공합니다.

LithoSuite

리소그래피 데이터 분석 시스템

LithoView
LithoView 공정 사용자 인터페이스는 데이터의 2D 및 3D 일시적 뷰를 포함하여 표준화된 데이터 보기 기능을 제공합니다. LithoView는 엔지니어에게 SensorWafer™ 통신, 임무 운영 및 데이터 다운로드와 같은 완전한 임무 제어 기능을 제공합니다. LithoView에는 데이터 기록을 완벽하게 추적할 수 있는 데이터베이스와 브라우저도 포함되어 있습니다.


AutoCal TrackTune 응용 프로그램
AutoCal TrackTune 고급 소프트웨어 응용 프로그램은 고급 트랙 핫플레이트를 교정하고 최적화하는 데 사용됩니다. 이 애플리케이션은 SensArray® Integrated Wafer로 수집된 데이터의 정확도를 활용하여 감광재 처리 영역의 온도 프로파일을 캡처합니다. 이 제품은 상세한 열 프로파일 데이터를 OEM 판별 열 모델링 엔진과 결합하여 최적화된 핫플레이트 제어 시스템 입력 매개변수 설정을 생성합니다. 이러한 최적화된 설정은 플레이트 균일성 내에서 크게 향상되고 판간 열 프로파일을 동기화합니다.

Process Probe™ 1530/1535

현장 웨이퍼 온도 모니터링 시스템

Process Probe™ 1530 및 1535 계측 웨이퍼는 냉간벽, RTP, 스퍼터링, CVD, 플라즈마 스트리퍼와 에피택셜 반응기를 포함한 광범위한 공정 중 현장 온도를 모니터링하는 데 사용됩니다. Process Probe 1530 및 1535는 공정 사이클의 각 중요한 단계 동안 웨이퍼 온도를 실시간으로 직접 측정합니다. 이러한 포괄적인 온도 데이터를 통해 공정 엔지니어는 공정 조건을 특성화하고 미세 조정하여 공정 장비 성능, 웨이퍼 품질 및 수율을 개선할 수 있습니다.

Process Probe™ 1630

현장 웨이퍼 온도 모니터링 시스템

Process Probe™ 1630 계측 웨이퍼를 사용하면 전단 대기 및 벨트 CVD 시스템과 후단 웨이퍼 솔더 범핑 리플로우 오븐에 대한 웨이퍼 온도 프로파일을 정확한 현장 특성화할 수 있습니다. Process Probe 1630을 사용하여 공정 엔지니어는 모서리 대 중심 온도 프로파일을 결정하여 히터 영역 설정 점을 조정하고 증착 온도에서의 드리프트를 측정하여 히터 및 벨트의 산화물 축적에 따른 열 전달 변화를 조정할 수 있습니다.

Process Probe™ 1730

현장 웨이퍼 온도 모니터링 시스템

Process Probe™ 1730 계측 웨이퍼를 사용하면 감광재 트랙 시스템, 온도 제어 웨이퍼 척 시스템, 오븐 응용 분야 및 레지스트 베이크, 폴리이미드 및 SOG 응용 분야에서 웨이퍼 온도 프로파일을 정확한 현장 특성화할 수 있습니다. Process Probe 1730은 엔지니어가 공정 조건을 특성화하고 미세 조정하여 공정 장비 성능을 개선하여 수율 향상을 지원합니다.

Process Probe™ 1840/1850

In Situ 웨이퍼 온도 모니터링 시스템

Process Probe™ 1840 및 1850 계측 웨이퍼는 고정밀 실시간 열판 온도 측정을 제공하여, 감광재 트랙 시스템 및 웨이퍼 프로버 등의 공정을 지원합니다. Process Probe 1840 및 1850은 접촉온도 센서 또는 정밀도가 낮은 공정 모니터에 의존하지 않고 웨이퍼 온도 안전성과 산포를 직접 측정할 수 있도록 합니다. Litho 엔지니어들은 Process Probe 1840 및 1850을 활용하여 감광재 베이크 온도 산포를 미세 조정하고 특성화할 수 있으며 이를 통해 첨단 Litho 공정이 높은 수율에 도달하기 위해 필요한 온도 정확도를 충족하도록 합니다.

Thermal MAP® 4

무선 데이터 수집 및 데이터 분석

Thermal MAP® 4 데이터 수집 및 분석 시스템은 현장 웨이퍼 온도 측정을 지원합니다. Thermal MAP 4 시스템은 무선 ISIS 5(지능형 센서 인터페이스 시스템) 데이터 수집 장치와 SensArray® 계측 웨이퍼 수집 데이터의 시각화 및 처리를 위한 강력한 그래픽 소프트웨어를 결합합니다. 이 정교한 웨이퍼 온도 데이터 수집 및 분해능 시스템은 과도 및 정상 상태 측정에 대한 뛰어난 정확도, 정밀도 및 해상도를 제공합니다. Thermal MAP 4 은 온도 램프 업, 정상 상태 및 램프 다운에 대한 정보를 간략하게 그래픽으로 표현하여 다음 도구를 사용하여 신속한 공정 최적화를 지원합니다.

  • 필름 두께 및 저항력 맵에 대한 상관 관계를 지원하는윤곽선 및 표면 맵
  • 프로세스 중에 온도 프로파일 변경을 빠르게 볼 수 있는 애니메이션
  • 실행 간 및 실행 중 실행 중

Thermal TRACK™ 6

무선 데이터 수집

Thermal TRACK™ 6 자료 수집 시스템은 유선 SensArray® Process Probe™ 계측 웨이퍼 제품에서 현장 웨이퍼 온도 측정을 지원합니다. Thermal TRACK 6 시스템은 무선 ISIS 5(지능형 센서 인터페이스 시스템) 데이터 수집 장치를 휴대용 태블릿과 결합하여 온도 프로필의 특성화를 위한 자료의 실시간 시각화 및 기록을 수행합니다. Thermal TRACK 6은 온도 상승, 정상 상태 및 냉각 시 웨이퍼 온도를 그래픽으로 표시하여 대부분의 공정을 빠르고 비용 효율적으로 관리할 수 있는 방법을 제공합니다. 이 휴대용 시스템은 천이 및 정상 상태 측정 모두에 대해 높은 정확도, 정밀도 및 분해능을 제공하여 반도체 공장 엔지니어가 온도 설정값을 초기화 및 확인하고 사전 정의된 예방 정비 점검을 실행할 수 있도록 중요한 데이터를 제공합니다. Thermal TRACK 6는 이전 세대와 동일한 기능을 제공하는 10.5" 태블릿과 함께 제공되지만 이제 최적화된 사용자 인터페이스와 향상된 사용자 경험을 포함함과 동시에 외부 PC의 필요성도 없앴습니다.

KLA의 SensArray 제품 또한 웨이퍼 제조, 레티클 제조, 첨단 패키징 제조, 반도체 장비 제조등을 지원합니다.

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

KLA 직원인 경우 My Access의 KLA 인트라넷을 통해 신청하세요.

나가기